Problem with test applet - Negation

Milos Wimmer wimmer at zcu.cz
Wed Jun 6 17:33:48 UTC 2007


  Hello,

I found bug in busybox-1.6.0 test applet.

Negation works fine in busybox-1.5.0:

./busybox-1.5.0 sh
$ [ "a" = "a" ] && echo ok
ok
$ [ "b" = "a" ] && echo ok
$ [ ! "b" = "a" ] && echo ok
ok
$ [ ! "a" = "a" ] && echo ok


but it returnes wrong values in busybox-1.6.0:

./busybox-1.6.0 sh
$ [ "a" = "a" ] && echo ok
ok
$ [ "b" = "a" ] && echo ok
$ [ ! "b" = "a" ] && echo ok
$ [ ! "a" = "a" ] && echo ok
ok


Regards,

   Milos Wimmer



More information about the busybox mailing list